WEB ASSISTED DESIGN OF COMMUNICATION
CIRCUITS AND SYSTEMS
Galia Marinova
Telecommunications Faculty, Technical University – Sofia-1000,
8, bul. Kliment Ohridski, Sofia, Bulgaria,
gim@tu-sofia.bg
Keywords: Online calculators, Web assisted design, Computer-aided design, Antenna, LED, SMPS, PSPICE, PCB
Abstract: Online calculators, generators, wizards and free design tools increased considerably the accessibility of
communication circuits and systems design. The paper presents a study on the online design tools and
defines design tasks that can be solved with their assistance. The concept of Local resources, assisted by
Web assistants in a Web portal, is considered. The Web assistants in the portal integrate Sets of connexions
and e-Content. The paper gives examples combining online tools with locally installed Computer-aided
design (CAD) tools for more effective communication circuits and systems design
.
1 INTRODUCTION
Nowadays we are witnessing a boom of specialized
web resources, online platforms, portals, programs,
calculators. Smaller or bigger centers make research,
characterization, categorization and development of
sets of connections to these web resources. One of
the biggest centers for connections with specialized
online resources is the MARTINDALE’S
Calculators Online Center (MARTINDALES) where
connections are built forward 24385 online
calculators, 4145 e-learning materials and lecture
courses and 1000 films, video and animation
products and simulations, created by more than 8620
authors from all over the world. The web
connections in the Center are categorized by areas,
covering a very wide specter, from agriculture and
archeology passing through mathematics and
engineering and ending by physics and chemistry.
Smaller specialized portals in the area of
communications exist, as for example, the portals
of the SPICE community (YOUSPICE, e-
CircuitCenter, etc. ), the portals for audio design,
which have built sets of tens to hundreds of
connections to useful resources in the Web. Besides
the disconnected online resources, online platforms
for solving complex research and design tasks in
communications became accessible during the last
years. The Free online platform for switch-mode
power supply (SMPS) design, on web address
www.PowerEsim.com and the SCA-Based Open
Source Software Defined Radio on web address
http://ossie.wireless.vt.edu/ are such examples. Both
are developed as result of serious scientific projects.
PowerEsim is the result of a research project in
Hong Kong, and SCA-Based Open Source Software
Defined Radio is the result from a project of the
National Research Foundation in USA. All
specialized online platforms and portals can be used
in education, but this is neither their main
application, nor the unique one. They make
accessible an environment for solving real tasks
from system design in communications with
elements of business analysis and decisions. Some
of these platforms integrate local resources with
online resources for solving design and test tasks.
The platforms as WeBench Designer of Texas
Instruments: for designing SMPS, LED, FPGA,
Sensors, which make available considerable free
resources, are between the most popular in this
category. We have experienced applying online
resources mainly in e-education and most
universities have e-Learning Centers or Distance
Learning Centers. In the present work the accent is
on the Useful resource on the web and the
development of Sets of connections in order to solve
Computer-aided design (CAD) tasks in the area as
communications. Thus acceleration of scientific
research can be achieved.
175
Marinova G.
WEB ASSISTED DESIGN OF COMMUNICATION CIRCUITS AND SYSTEMS.
DOI: 10.5220/0005415601750184
In Proceedings of the First International Conference on Telecommunications and Remote Sensing (ICTRS 2012), pages 175-184
ISBN: 978-989-8565-28-0
Copyright
c
2012 by SCITEPRESS Science and Technology Publications, Lda. All rights reserved
2 CONCEPT OF PORTAL WITH
WEB ASSISTANTS TO LOCAL
RESOURCES FOR CAD OF
COMMUNICATION CIRCUITS
AND SYSTEMS
A Multitool environment for Computer-Aided
Design in Communications was described in
(Marinova, 2010). The goal was to combine multiple
tools in order to design a communication system.
The set, of locally installed CAD tools in the
environment, is composed from the following
programs:
MATLAB,
ORCAD/Capture, ORCAD/Layout,
PSpice A/D and Advanced analysis,
WARP 6.2,
ISE WEBPACK,
II, QUARTUS
MMICAD,
FilterCAD,
PAC Designer,
MICROWIND.
The integration of these tools for solving
different tasks is described in details in (Marinova,
2010). At a next step it becomes interesting to assist
these Local resources by a Set of Useful online
resources. This is realised through definition of a Set
of CAD tasks suitable for the Local resources. Then
a Portal is developed with Web assistants in order to
provide knowledge from the web helping the task
solution. The Web assistants are composed by a Set
of connections to the Useful web resources and e-
Content. The Useful net resources are determined
after a serious research in the web and selection of
online tools suitable for the Set of CAD task.
Additional tests and verifications of the online tools
are performed before admitting them as a Useful
resource. A characterization is made for their
application area. The Concept of the Web assistants
combined to Local resources, for CAD of
communication circuits and systems is presented on
Figure 1. The Portal with Web assistants for CAD of
communication circuits and systems is presented on
Fig
ure 2.
defined:
A set of CAD tasks is
Antenna design;
LED array, controller and driver design;
Switch-m
ode power supplies (SMPS)
PCB design price estimation.
design;
Design with PSpice;
PCB trace estimation;
Figure 1.
Figure 2.
3 SETS OF CONNECTIONS IN
THE WEB ASSISTANTS
The Set of connections for each Web assistant is
built after a serious research in the web for online
calculators, tools and materials, related to the
corresponding Set of CAD tasks. Each online tool is
tested and if the test result is satisfactory the web
address is added to the Set of connections. Each
online tool is accompanied by short characteristics,
describing its specific functions. Six Sets of
First International Conference on Telecommunications and Remote Sensing
176
connections are built in order to assist solving the
Set of CAD tasks described above:
Set of connections for Antenna design: 10
online calculators are considered for the design of 7
of the most popular types of antennas: LPDA, Yagi,
Moxon, Helix, Microstrip Patch, Delta loop and
Magnetic loop. The Set is presented in Table 1.
Set of connections for LED array, driver and
controller design: 5 online calculators and one free
downloadable program for LED array design,
Current limiting resistor calculation, LED driver
design and LED controller programming are
selected and presented in Table 2.
Set of connections for SMPS design: The free
online platform for SMPS design PowerEsim, as
well as WEBENCH POWER DESIGNER (TI) and a
portal for SMPS projects simulated with PSpice are
selected and presented in Table 3. The PowerEsim
platform was tested. Verification with PSpice was
performed for the design of a Full bridge DC-DC
converter and the results from the verification are
presented in (Marinova, July 2012). The content of
the portal SMPS was studied in details and an
application limitation coming from the paid PSpice
projects of SMPS was observed.
Set of connections for Design with Spice
Three portals – eCircuitCenter, YOUSPICE and
SMPS are selected and considered and the set of
connections is presented in Table 4. The free
Circuits collections were tested with a local PSpice
A/D tool and several remarks and corrections were
reported for some of the projects in these portals.
The specific advantage of the portal YOUSPICE is
the mechanism for SPICE project exchange. New
point in the portal eCircuitCenter is the online
EXCEL based design calculations for the design of
certain circuits – audio amplifiers for example. The
PSpice projects of the portal SMPS are entirely paid
and even the electrical circuit of the SMPS is not
fully visible without registration.
Set of connections for PCB trace estimation
6 online calculators are selected and a free
downloadable tool Saturn and their main
characteristics are presented in Table 5.
Set of connections for PCB design price
estimation – an online calculator and 2 tabular
online tools are selected and presented in Table 6.
The research covers until now 32 resources from
the Web which are selected, studied and added to the
Sets of connections to the Web assistants in the
Portal for computer-aided design of communication
circuits and systems. The research continues by
adding new Sets of connections with:
Online calculators for resistors and
capacitors;
Online matching network tools – Smith
Chart diagram tools;
Online analogue/digital/crystal filters
design tools;
Online 555 timer calculators;
Online design tools for loudspeakers and
microphones (mono and stereo);
Online SCA based open source software
defined radio - OSSIE.
4 ILLUSTRATIVE EXAMPLES
OF WEB ASSISTED
COMPUTER-AIDED DESIGN
In (Marinova, June 2012) there are some examples
given for web assisted computer aided design. Two
additional examples, design with WENENCH LED
DESIGNER and design with WARP 6.2. and LED
current limiting resistor calculator, are given further.
4.1 Design of LED array with 5x7
orange LEDs and a driver in
WEBENCH LED DESIGNER
Orange LEDs 35 (5х7), with forward voltage 2.9 V
and forward current of 0.35 mA, are chosen. The
power supply is 12 V. The LEDs chosen for the
design are Philips LXML Ph01.
WEBENCH LED DESIGNER proposes a list of
drivers suitable for such LEDs. Besides the driver IC
and the datasheet, an electrical circuit is proposed
for each driver. The drivers with the highest
efficiency are selected. Then they are compared for
their dimensions and price. The driver chosen for the
design is LM3424MH and the electrical circuit of
the design is presented on Figure 3.
4.2 Design of LED array 16x4
controlled by shift registers and
pseudo-random vector generator
The electrical circuit in ORCAD/Capture of the LED
array 16x4 controlled by shift registers and pseudo-
random vector generator (PRVG) is presented on
Figure 4. The 4 shift registers and the PRVG are
described in VHDL and simulated on CYPRESS
CPLD in WARP 6.2 (www.cypress.com). The
limiting current resistors R1-R64 from Fig. 3 are
calculated in the online calculator Ledz. From
Table_2.
Web Assisted Design of Communication Circuits and Systems
177
Table 1: Set of connections for Antenna design
Antenna Picture Calculator Web address in the Set of
connections
Log Periodic
antenna LPDA
Changpuak http://www.changpuak.ch/electronics/lpda.php
Radiohobby
http://radiohobby.hit.bg/antcalc.htm
Yagi antenna
Va3css
http://www.va3css.ca/calculators.html
Moxon antenna
Vp9kf
http://w4.vp9kf.com/moxon_design.htm
Jcoppens
http://jcoppens.com/ant/helix/calc.en.php
Helix antenna
Daycounter
http://www.daycounter.com/Calculators/Helic
al-Antenna-Design-Calculator.phtml
Microstrip patch
antenna
Emtalk
http://www.emtalk.com/mpacalc.php
Delta loop antenna
DxZone http://www.dxzone.com/catalog/Antennas/Ant
enna_Calculators/
66pacific
http://www.66pacific.com/calculators/small_t
x_loop_calc.aspx
Magnetic loop
antenna
Am-dx
http://www.am-dx.com/loop_calc.htm
First International Conference on Telecommunications and Remote Sensing
178
Table 2: Set of connections for LED array, controller and driver design
LED
calculator
Illustration Functions Web address in the Set of
connections
Ledz
Current
limiting
resistor
calculation for
one or several
LEDs
connected
serially or in
parallel
http://ledz.com/?p=zz.led.resistor.calculator
Ledlinear
LED
serial/parallel
array design
http://led.linear1.org/
LedCalculator
LED
serial/parallel
array design
http://ledcalculator.net/
LedCalc
array design
ttp://ledcalc.com/
LED
serial/parallel
h
W
LED Designer
cy
calculation
.ti.com/ww/en/analog/webench/
led.shtml
EBENCH LED driver
circuit design
and efficien
http://www
MAX6952
g
controllers
atrix-display-using-lpt-port-and-driver-ic/
Programmin
LED
http://www.scienceprog.com/drive-dot-led-
m
Web Assisted Design of Communication Circuits and Systems
179
Table 3: Set of connect esign
tool Illustration Characteristic ress in the Set of
ions for SMPS d
CAD
or Portal s
Web add
connections
PowerEsim
S design –
r
Simulation tool
poweresim.com
Online platform for
SMP
www.
free
Transforme
calculator
WEBENC
POWER
H
DESIGNER
t
optimiza n
http ch.ti.com/webench5/power
Multiple circui
synthesis and
tio
://weben
SMPS
r
SMPS – all paid
ww .smps.com
PSpice projects fo w
le 4: ctio gn w
ols r
Tab Set of conne ns for Desi ith PSpice
o
Portal Characteristics CAD to Circuit
collection
Free
paid
Web address in the Set
of connections
eCircuitCenter
CEL 6
ww .ecircuitcenter.com
Project design
with EXCEL and EX
simulation with
PSpice
PSpice 115 projects
in 1
Free
categories
w
YOUSPICE Project exchange
;
r;
4
categories
and
projects
ww .youspice.com
ORCAD
PSpice;
ap;
Micro-C
LTspice;
TINA;
EDWinXP;
Proteus;
NI Multisim
Altium
Designe
SPICE
OPUS.
392 projects
in 1
Free
Paid
72 free
w
SMPS SMPS projects PSpice
projects
Paid www.smps.com
SMPS
First International Conference on Telecommunications and Remote Sensing
180
Table 5: Set of connect trace estimation
Calculator Characteristic Illustration Web e Set of
ions for PCB
address in th
connections
Saturn PCB
Design
toolkit
v.5.6.
gnal
DN
sing
current etc.
/www.saturnpcb.com/pcb_toolkit.
htm
Free downloadable
software for Via,
Conductor, Si
properties
calculation, P
impedance,
Thermal, Fu
http:/
TECHNIK
e,
n for 7
geometries
hp?aiocp_dp=util_pcb_imp_
calculator
Impedance,
Inductanc
capacity
calculatio
different
http://www.technick.net/public/code/
cp_dpage.p
Selektronik
acity,
alculation
http://www.skottanselektronik.com/
Impedance,
crosstalk, current
carrying cap
thermo-via
c
Wordpress
, using
EXCEL
ind-pcb-trace-width-based-
on-power/
Trace Width
calculation based
on power
http://circuitcalculator.com/wordpress/2
006/04/20/f
EMCLAB
n for 4
geometries
http clab.mst.edu/pcbtlc2/
Trace impedance
calculatio
different
://em
EEWEB
n for 9
f
/or
striplines
lbox/microst
rip-impedance/
Trace impedance
calculatio
different
geometries o
microstrip,
striplines and
coupled and
embedded
http://www.eeweb.com/too
Web Assisted Design of Communication Circuits and Systems
181
Table 5: Set of connections for PCB trace estimation (continue)
TI PCB
THERMAL
CALCULATOR
Device junction
temperature
estimation
More than 200 TI
ICs supported
http://www.ti.com/adc/docs/midlevel.ts
p ?contentId=76735
Table 6: Set of connections for PCB design price estimation
PCB design price
calculator
Characteristics Web address in the Set of connections
MIKRON 20 Calculates the price of
PCB production and
assembly
http://www.micron20.com/bg/orders/calculator-
production/
http://www.micron20.com/bg/orders/calculator-
assembly/
SKA Prices for PCB
production are presented
in tables as well as
percentage for additional
services
http://www.cka-bg.com/index.php?page=tseni-
pechatni-platki&hl=bg_BG
MLAD
KONSTRUKTOR
Prices for PCB
production are presented
in tables
http://constructor.bg/shop/pcb_order_2.php
Figu 3.
re
First International Conference on Telecommunications and Remote Sensing
182
Figure 4.
The VHDL description of the 4 bit pseudo random
vector generator is:
The PRVG described in VHDL is simulated in
WARP 6.2 and the waveforms obtained are
presented on Figure 5. The input is the clock signal
and the 4-bit vectors generated are obtained at
random_4bit. The random numbers are presented in
decimal form.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity rng is
generic ( wd : integer := 32 );
port (clk : in std_logic;
random_num : out std_logic;
random_4bit: out
std_logic_vector (3 downto 0));
end rng;
architecture Behavioral of rng is
signal rand_temp : std_logic_vector(wd-1
downto 0);
signal temp : std_logic;
begin
process(clk)
begin
if(clk'event and clk='1') then
temp <= not(rand_temp(wd-1) xor
rand_temp(wd-2));
rand_temp(wd-1 downto 1) <=
rand_temp(wd-2 downto 0);
rand_temp(0) <= temp;
end if;
end process;
random_num <= rand_temp(wd-1);
random_4bit(3)<= rand_temp(wd-1);
random_4bit(2)<= rand_temp(wd-2);
random_4bit(1)<= rand_temp(wd-3);
random_4bit(0)<= rand_temp(wd-4);
end Behavioral;
Figure 5.
The functionality of the circuit from Figure 4 is
presented on Figure 6, where red circles indicate
lighting LEDs.
Web Assisted Design of Communication Circuits and Systems
183
Figure 6.
This design is a good illustration of the
advantages of combined utilization of Local
resources as WARP 6.2 and online calculator as
Ledz.
5 CONCLUSIONS
The paper illustrates the concept of a Portal with
Web assistants, developed to help the solutions of
The Web assistants are composed by Sets of
connections with Useful web resources and e-
Content. The research work concerning 6 tasks for
CAD is presented in the paper and the Sets of
connections with online calculators and other web
resources of the Web assistant in the Portal are
considered in details. Two examples of LED design
are described in order to illustrate the approach.
Further work is planned in order to enlarge the
Portal with new Web assistants and new Sets of
connections for solving new Tasks for CAD in
communications.
Tasks for computer aided design in communications.
REFERENCES
Marinova, G., June 2012, Multitool online assisted
disciplinary approach
for
2012, PSpice as a
Ver
http l
design of communication circuits and systems,
ICEST’2012, Veliko Tarnovo
Marinova, G., 2010, Multi
teaching Computer-Aided Design in
communications using multiple software tools,
Advanced Aspects of Theoretical Electrical
Engineering Sozopol '2010, Sozopol, Bulgaria,
Plenary lectures, pp. 34 -42
Marinova, G., July
ification Tool for Switch Mode Power Supply
Design with PowerEsim, NDES’2012, Wofenbuttel,
Germany, pp.140-143, ISBN:978-3-8007-3444-3
MARTINDALE’S Calculators Online Center
://www.martindalecenter.com/Calculators.htm
First International Conference on Telecommunications and Remote Sensing
184