HIERARCHICAL AGENT MONITORING DESIGN PLATFORM - Towards Self-aware and Adaptive Embedded Systems

Liang Guang, Bo Yang, Juha Plosila, Jouni Isoaho, Hannu Tenhunen

2011

Abstract

Hierarchical agent monitoring design platform (HAM) is presented as a generic design approach for the emerging self-aware and adaptive embedded systems. Such systems, with various existing proposals for different advanced features, call for a concrete, practical and portable design approach. HAM addresses this necessity by providing a scalable and generically applicable design platform. This paper elaborately describes the hierarchical agent monitoring architecture, with extensive reference to the state-of-the-art technology in embedded systems. Two case studies are exemplified to demonstrate the design process and benefits of HAM design platform. One is about hierarchical agent monitored Network-on-Chip with quantitative experiments of hierarchical energy management. The other one is a projectional study of applying HAM on smart house systems, focusing on the design for enhanced dependability.

References

  1. Al Faruque, M. A., Krist, R., and Henkel, J. (2008). Adam: run-time agent-based distributed application mapping for on-chip communication. In DAC 7808: Proceedings of the 45th annual Design Automation Conference, pages 760-765, New York, NY, USA. ACM.
  2. Al Faruque, M. A., Krist, R., and Henkel, J. (2008). Adam: run-time agent-based distributed application mapping for on-chip communication. In DAC 7808: Proceedings of the 45th annual Design Automation Conference, pages 760-765, New York, NY, USA. ACM.
  3. Guang, L. and Jantsch, A. (2006). Adaptive power management for the on-chip communication network. In Proc. of Euromicro DSD'06, pages 649-656.
  4. Guang, L. and Jantsch, A. (2006). Adaptive power management for the on-chip communication network. In Proc. of Euromicro DSD'06, pages 649-656.
  5. Guang, L., Plosila, J., Isoaho, J., and Tenhunen, H. (2010). Hierarchical agent monitored parallel on-chip system: A novel design paradigm and its formal specification. International Journal of Embedded and Real-Time communication Systems (IJERTCS), 1(2):86-105.
  6. Guang, L., Plosila, J., Isoaho, J., and Tenhunen, H. (2010). Hierarchical agent monitored parallel on-chip system: A novel design paradigm and its formal specification. International Journal of Embedded and Real-Time communication Systems (IJERTCS), 1(2):86-105.
  7. Helal, S., Mann, W., El-Zabadani, H., King, J., Kaddoura, Y., and Jansen, E. (2005). The gator tech smart house: A programmable pervasive space. Computer, 38:50- 60.
  8. Helal, S., Mann, W., El-Zabadani, H., King, J., Kaddoura, Y., and Jansen, E. (2005). The gator tech smart house: A programmable pervasive space. Computer, 38:50- 60.
  9. Horn, P. (2001). Autonomic computing: Ibm's perspective on the state of information technology. online.
  10. Horn, P. (2001). Autonomic computing: Ibm's perspective on the state of information technology. online.
  11. Hu, J. and Marculescu, R. (2005). Energy and performanceaware mapping for regular noc architectures. IEEE Transactions on CAD, 24(4):551-562.
  12. Hu, J. and Marculescu, R. (2005). Energy and performanceaware mapping for regular noc architectures. IEEE Transactions on CAD, 24(4):551-562.
  13. Jantsch, A. and Tenhunen, H. (2003). Networks on Chip. Kluwer Academic Publishers.
  14. Jantsch, A. and Tenhunen, H. (2003). Networks on Chip. Kluwer Academic Publishers.
  15. Kahng, A., Li, B., Peh, L.-S., and Samadi, K. (2009). Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration. In Proc. DATE 7809, pages 423-428.
  16. Kahng, A., Li, B., Peh, L.-S., and Samadi, K. (2009). Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration. In Proc. DATE 7809, pages 423-428.
  17. Kephart, J. and Chess, D. (2003). The vision of autonomic computing. Computer, 36(1):41-50.
  18. Kephart, J. and Chess, D. (2003). The vision of autonomic computing. Computer, 36(1):41-50.
  19. Keutzer, K., Newton, A., Rabaey, J., and SangiovanniVincentelli, A. (2000). System-level design: orthogonalization of concerns and platform-based design. IEEE Transactions on CAD, 19(12):1523-1543.
  20. Keutzer, K., Newton, A., Rabaey, J., and SangiovanniVincentelli, A. (2000). System-level design: orthogonalization of concerns and platform-based design. IEEE Transactions on CAD, 19(12):1523-1543.
  21. Latif, K., Niazi, M., Tenhunen, H., Seceleanu, T., and Sezer, S. (2008). Application development flow for on-chip distributed architectures. In Proceeding of SOC Conference, 2008 IEEE International.
  22. Latif, K., Niazi, M., Tenhunen, H., Seceleanu, T., and Sezer, S. (2008). Application development flow for on-chip distributed architectures. In Proceeding of SOC Conference, 2008 IEEE International.
  23. Lee, E. A. (2008). Cyber physical systems: Design challenges. Technical Report UCB/EECS-2008-8, EECS Department, University of California, Berkeley.
  24. Lee, E. A. (2008). Cyber physical systems: Design challenges. Technical Report UCB/EECS-2008-8, EECS Department, University of California, Berkeley.
  25. Lehtonen, T., Liljeberg, P., and Plosila, J. (2007). Online reconfigurable self-timed links for fault tolerant noc. VLSI Design, 2007:13.
  26. Lehtonen, T., Liljeberg, P., and Plosila, J. (2007). Online reconfigurable self-timed links for fault tolerant noc. VLSI Design, 2007:13.
  27. Lu, Z., Jantsch, A., Salminen, E., and Grecu, C. (2008). Network-on-chip benchmarking specification part 2: Microbenchmark specification version 1.0. Technical report, OCP International Partnership Association.
  28. Lu, Z., Jantsch, A., Salminen, E., and Grecu, C. (2008). Network-on-chip benchmarking specification part 2: Microbenchmark specification version 1.0. Technical report, OCP International Partnership Association.
  29. Ogras, U., Marculescu, R., Marculescu, D., and Jung, E. G. (2009). Design and management of voltage-frequency island partitioned networks-on-chip. IEEE Transactions on VLSI, 17(3):330-341.
  30. Ogras, U., Marculescu, R., Marculescu, D., and Jung, E. G. (2009). Design and management of voltage-frequency island partitioned networks-on-chip. IEEE Transactions on VLSI, 17(3):330-341.
  31. Rabaey, J. M. (2004). Interconnect-centric Design for Advanced SoC and NoC, chapter System-on-chip challenges in the deep-sub-micron era, a case for the network-on-a-chip, pages 3-24. Kluwer Academic Publishers.
  32. Rabaey, J. M. (2004). Interconnect-centric Design for Advanced SoC and NoC, chapter System-on-chip challenges in the deep-sub-micron era, a case for the network-on-a-chip, pages 3-24. Kluwer Academic Publishers.
  33. Sangiovanni-Vincentelli, A. and Martin, G. (2001). Platform-based design and software design methodology for embedded systems. IEEE Des. Test, 18(6):23- 33.
  34. Sangiovanni-Vincentelli, A. and Martin, G. (2001). Platform-based design and software design methodology for embedded systems. IEEE Des. Test, 18(6):23- 33.
  35. Shang, L., Peh, L., Kumar, A., and Jha, N. (2004). Thermal modeling, characterization and management of on-chip networks. In Proc. 37th International Symposium on Microarchitecture MICRO-37 2004, pages 67-78.
  36. Shang, L., Peh, L., Kumar, A., and Jha, N. (2004). Thermal modeling, characterization and management of on-chip networks. In Proc. 37th International Symposium on Microarchitecture MICRO-37 2004, pages 67-78.
  37. Shang, L., Peh, L.-S., and Jha, N. (2003). Dynamic voltage scaling with links for power optimization of interconnection networks. In Proc. of HPCA 2003, pages 91-102.
  38. Shang, L., Peh, L.-S., and Jha, N. (2003). Dynamic voltage scaling with links for power optimization of interconnection networks. In Proc. of HPCA 2003, pages 91-102.
  39. Stefanov, D. H., Bien, Z., and Bang, W.-C. (2004). The smart house for older persons and persons with physical disabilities: structure, technology arrangements, and perspectives. IEEE Transactions on Neural Systems and Rehabilitation Engineering, 12:228-250.
  40. Stefanov, D. H., Bien, Z., and Bang, W.-C. (2004). The smart house for older persons and persons with physical disabilities: structure, technology arrangements, and perspectives. IEEE Transactions on Neural Systems and Rehabilitation Engineering, 12:228-250.
  41. Tierno, J., Rylyakov, A., and Friedman, D. (2008). A wide power supply range, wide tuning range, all static cmos all digital pll in 65 nm soi. IEEE Journal of Solid-State Circuits, 43(1):42-51.
  42. Tierno, J., Rylyakov, A., and Friedman, D. (2008). A wide power supply range, wide tuning range, all static cmos all digital pll in 65 nm soi. IEEE Journal of Solid-State Circuits, 43(1):42-51.
  43. Truong, D., Cheng, W., Mohsenin, T., Yu, Z., Jacobson, A., Landge, G., Meeuwsen, M., Watnik, C., Tran, A., Xiao, Z., Work, E., Webb, J., Mejia, P., and Baas, B. (2009). A 167-processor computational platform in 65 nm cmos. IEEE Journal of Solid State Circuits, 44(4):1130-1144.
  44. Truong, D., Cheng, W., Mohsenin, T., Yu, Z., Jacobson, A., Landge, G., Meeuwsen, M., Watnik, C., Tran, A., Xiao, Z., Work, E., Webb, J., Mejia, P., and Baas, B. (2009). A 167-processor computational platform in 65 nm cmos. IEEE Journal of Solid State Circuits, 44(4):1130-1144.
  45. Truscan, D., Seceleanu, T., Lilius, J., and Tenhunen, H. (2008). A model-based design process for the segbus distributed architecture. In Proceedings of the 15th Annual IEEE International Conference and Workshop on the Engineering of Computer Based Systems, pages 307-316. IEEE Computer Society.
  46. Truscan, D., Seceleanu, T., Lilius, J., and Tenhunen, H. (2008). A model-based design process for the segbus distributed architecture. In Proceedings of the 15th Annual IEEE International Conference and Workshop on the Engineering of Computer Based Systems, pages 307-316. IEEE Computer Society.
  47. Vangal, S., Howard, J., Ruhl, G., Dighe, S., Wilson, H., Tschanz, J., Finan, D., Iyer, P., Singh, A., Jacob, T., Jain, S., Venkataraman, S., Hoskote, Y., and Borkar, N. (2007). An 80-tile 1.28tflops network-on-chip in 65nm cmos. In Proc. Digest of Technical Papers. of ISSCC 2007, pages 98-589.
  48. Vangal, S., Howard, J., Ruhl, G., Dighe, S., Wilson, H., Tschanz, J., Finan, D., Iyer, P., Singh, A., Jacob, T., Jain, S., Venkataraman, S., Hoskote, Y., and Borkar, N. (2007). An 80-tile 1.28tflops network-on-chip in 65nm cmos. In Proc. Digest of Technical Papers. of ISSCC 2007, pages 98-589.
  49. Wibben, J. and Harjani, R. (2007). A high efficiency dc-dc converter using 2nh on-chip inductors. In Proc. IEEE Symposium on VLSI Circuits, pages 22-23.
  50. Wibben, J. and Harjani, R. (2007). A high efficiency dc-dc converter using 2nh on-chip inductors. In Proc. IEEE Symposium on VLSI Circuits, pages 22-23.
  51. W ürtz, R. P., editor (2008). Organic Computing. Springer.
  52. W ürtz, R. P., editor (2008). Organic Computing. Springer.
  53. Yang, B., Guang, L., Canhao, X. T., Yin, A. W., Tero Säntti, T., and Plosila, J. (2010). Multi-application multi-step mapping method for many-core network-on-chips. In Proc. of Norchip 2010.
  54. Yang, B., Guang, L., Canhao, X. T., Yin, A. W., Tero Säntti, T., and Plosila, J. (2010). Multi-application multi-step mapping method for many-core network-on-chips. In Proc. of Norchip 2010.
Download


Paper Citation


in Harvard Style

Guang L., Yang B., Plosila J., Isoaho J. and Tenhunen H. (2011). HIERARCHICAL AGENT MONITORING DESIGN PLATFORM - Towards Self-aware and Adaptive Embedded Systems . In Proceedings of the 1st International Conference on Pervasive and Embedded Computing and Communication Systems - Volume 1: SAAES, (PECCS 2011) ISBN 978-989-8425-48-5, pages 573-581. DOI: 10.5220/0003396805730581


in Harvard Style

Guang L., Yang B., Plosila J., Isoaho J. and Tenhunen H. (2011). HIERARCHICAL AGENT MONITORING DESIGN PLATFORM - Towards Self-aware and Adaptive Embedded Systems . In Proceedings of the 1st International Conference on Pervasive and Embedded Computing and Communication Systems - Volume 1: SAAES, (PECCS 2011) ISBN 978-989-8425-48-5, pages 573-581. DOI: 10.5220/0003396805730581


in Bibtex Style

@conference{saaes11,
author={Liang Guang and Bo Yang and Juha Plosila and Jouni Isoaho and Hannu Tenhunen},
title={HIERARCHICAL AGENT MONITORING DESIGN PLATFORM - Towards Self-aware and Adaptive Embedded Systems},
booktitle={Proceedings of the 1st International Conference on Pervasive and Embedded Computing and Communication Systems - Volume 1: SAAES, (PECCS 2011)},
year={2011},
pages={573-581},
publisher={SciTePress},
organization={INSTICC},
doi={10.5220/0003396805730581},
isbn={978-989-8425-48-5},
}


in Bibtex Style

@conference{saaes11,
author={Liang Guang and Bo Yang and Juha Plosila and Jouni Isoaho and Hannu Tenhunen},
title={HIERARCHICAL AGENT MONITORING DESIGN PLATFORM - Towards Self-aware and Adaptive Embedded Systems},
booktitle={Proceedings of the 1st International Conference on Pervasive and Embedded Computing and Communication Systems - Volume 1: SAAES, (PECCS 2011)},
year={2011},
pages={573-581},
publisher={SciTePress},
organization={INSTICC},
doi={10.5220/0003396805730581},
isbn={978-989-8425-48-5},
}


in EndNote Style

TY - CONF
JO - Proceedings of the 1st International Conference on Pervasive and Embedded Computing and Communication Systems - Volume 1: SAAES, (PECCS 2011)
TI - HIERARCHICAL AGENT MONITORING DESIGN PLATFORM - Towards Self-aware and Adaptive Embedded Systems
SN - 978-989-8425-48-5
AU - Guang L.
AU - Yang B.
AU - Plosila J.
AU - Isoaho J.
AU - Tenhunen H.
PY - 2011
SP - 573
EP - 581
DO - 10.5220/0003396805730581


in EndNote Style

TY - CONF
JO - Proceedings of the 1st International Conference on Pervasive and Embedded Computing and Communication Systems - Volume 1: SAAES, (PECCS 2011)
TI - HIERARCHICAL AGENT MONITORING DESIGN PLATFORM - Towards Self-aware and Adaptive Embedded Systems
SN - 978-989-8425-48-5
AU - Guang L.
AU - Yang B.
AU - Plosila J.
AU - Isoaho J.
AU - Tenhunen H.
PY - 2011
SP - 573
EP - 581
DO - 10.5220/0003396805730581