WEB ASSISTED DESIGN OF COMMUNICATION CIRCUITS AND SYSTEMS

Galia Marinova

2012

Abstract

Online calculators, generators, wizards and free design tools increased considerably the accessibility of communication circuits and systems design. The paper presents a study on the online design tools and defines design tasks that can be solved with their assistance. The concept of Local resources, assisted by Web assistants in a Web portal, is considered. The Web assistants in the portal integrate Sets of connexions and e-Content. The paper gives examples combining online tools with locally installed Computer-aided design (CAD) tools for more effective communication circuits and systems design.

Download


Paper Citation


in Harvard Style

Marinova G. (2012). WEB ASSISTED DESIGN OF COMMUNICATION CIRCUITS AND SYSTEMS . In Proceedings of the First International Conference on Telecommunications and Remote Sensing - Volume 1: ICTRS, ISBN 978-989-8565-28-0, pages 175-184. DOI: 10.5220/0005415601750184


in Bibtex Style

@conference{ictrs12,
author={Galia Marinova},
title={WEB ASSISTED DESIGN OF COMMUNICATION CIRCUITS AND SYSTEMS},
booktitle={Proceedings of the First International Conference on Telecommunications and Remote Sensing - Volume 1: ICTRS,},
year={2012},
pages={175-184},
publisher={SciTePress},
organization={INSTICC},
doi={10.5220/0005415601750184},
isbn={978-989-8565-28-0},
}


in EndNote Style

TY - CONF
JO - Proceedings of the First International Conference on Telecommunications and Remote Sensing - Volume 1: ICTRS,
TI - WEB ASSISTED DESIGN OF COMMUNICATION CIRCUITS AND SYSTEMS
SN - 978-989-8565-28-0
AU - Marinova G.
PY - 2012
SP - 175
EP - 184
DO - 10.5220/0005415601750184